龙空技术网

半导体设备产业详解:产业转移与国家力量赋能国产化加速推进

未来智库 1337

前言:

目前咱们对“转移几mol电子”大体比较注意,小伙伴们都需要了解一些“转移几mol电子”的相关内容。那么小编也在网络上搜集了一些有关“转移几mol电子””的相关文章,希望看官们能喜欢,姐妹们快快来了解一下吧!

获取报告请登陆未来智库。

1、 半导体行业:全球市场有望回暖 产业转移造就历史性机遇

1.1、 全球市场:增长遭遇十年低谷 短期有望触底回升

2019 年,全球半导体行业实现销售总收入 4090 亿美元,同比下降 12.8%。集成 电路的细分项存储器收入大幅下滑是拖累产业进入低谷的首要因素。集成电路是半 导体行业的最大组成部分,2019 年收入 3304 亿,占比达到 80.8%,同比下降 16.0%。 集成电路的萎靡直接导致了半导体行业的景气度下滑。集成电路又可细分为存储器、 逻辑电路、微处理器及模拟电路四个主要组成部分,2019 年的收入分别为 1059 亿 /1046 亿/657 亿/542 亿,占比依次为 32.1%/31.7%/19.9%/16.4%。在过去一年,集成 电路各细分市场均出现了不同程度的下滑,其中首当其冲是存储器板块,全年收入 同比降低 33.0%,成为拖累整个半导体产业进入低谷的首要因素。供给侧来看,2018 年,三星、SK 以及 Micron 等全球存储器芯片巨头在创新技术上展开激烈竞争,在 投资、建厂、扩产等方面纷纷布局,导致了产能过剩,2018-2019 年上半年,DRAM 和 NAND Flash 价格大幅下滑;需求侧来看,全球智能手机、服务器等需求动能趋于 疲软。叠加中美贸易战影响持久,2019 年全球半导体产业出现了十年以来的最大降 幅。

动态来看,2019 年下半年全球半导体市场开始复苏,多机构预计 2020 年市场将 持续增长。经过调整,目前半导体行业供应链库存情况已较大幅度改善,DRAM 及 NAND 的价格呈现触底回升趋势。2019 年下半年起,全球半导体行业呈现复苏态势。 展望 2020 年,我们认为在 5G、AI 以及云计算等新兴技术的应用下,下游市场需求 有望回暖并实现增长,推动全球半导体产业重回上升周期。国际上多家市场调研机 构(统计范围内机构包括 SEMI、IC Insights、Objective Analysis、HIS、WSTS、Mike Cowan 以及 Future Horizons)对 2020 年全球半导体市场做出了预测,除 Objective Analysis 认为全球市场有可能出现下滑外,其余机构普遍看好 2020 年的增长。在统 计预测结果中,对 2020 年全球半导体市场增速预测的中位数为 5.9%。

1.2、 行业周期特点及驱动因素:三大周期嵌套 新景气周期即将开启

1.2.1、 半导体行业存在三大周期嵌套

半导体行业存在三大周期:产品周期(长周期)、资本开支/产能周期(中周期)、 库存周期(短周期)。三大周期以产品周期为首要周期,其决定了资本开支/产能周 期与库存周期,周期与周期之间存在嵌套。以一个完整的半导体周期为例,每隔 10 年左右因划时代新技术与新产品的出现,半导体需求呈现连续数年的爆发性增长, 半导体生产企业亦加大资本开支/产能以满足正在扩张中的产品周期,此时半导体行 业增长特点由产品周期引致的成长性主导;当产品周期进入需求饱和或下降以及企 业进入存量竞争阶段,叠加切换期的产能过剩,供需产生失衡,半导体行业销售与价 格均产生大幅下滑。此时行业增长特点由资本开支/产能周期主导;随后行业产能利 用率下降,在新需求动能尚未铺开的前提下,IC 设计企业或部门的订单预测决定了 库存周期。例如:晶圆代工企业从拿到订单到产品交付,约需要 1 个季度的生产时 间,所以 IC 设计企业/部门一般要提前 1 个季度下单。IC 设计企业下单时的“预测 订单”与 1 个季度之后的“实际订单”之间的差值波动构成库存周期。此时行业增长 特点将由库存周期主导;此后,当划时代的新技术/新产品动能再度出现时,新一轮 的产品周期将开启,出现以上所述循环。

1.2.2、 新技术/新产品驱动半导体行业增长 新景气周期即将开启

每一次技术研发升级、产品更新换代都有可能成为下一轮半导体景气周期的导 火索。纵观半导体行业发展史,随科技及制造工艺的进步,下游需求逐步演化,半导 体行业增长的动力由家电、PC 向以智能手机为主的消费类电子产品转移。全球半导 体行业的发展可分为四个阶段:

➢ 第一阶段:20-70 年代,研发储备及小范围应用。在此期间,半导体 技术历经电子管、晶体管并向集成电路发展;1946 年,第一台电子数字计算机 ENIAC 诞生;1951 年,世界第一台商用计算机交付美国人口调查局;1960 年, 第一块硅集成电路问世;1965 年,摩尔定律被提出。大批巨头洞察商机并入驻 半导体产业,德州仪器、摩托罗拉以及 IBM 等纷纷进入该领域,仙童半导体、 Intel 先后成立,为半导体行业步入大规模商用阶段奠定了基础。

➢ 第二阶段:70-90 年代,家电、计算机 2B 端的快速发展促进半导体 行业进入商用阶段。在此期间,大规模集成电路、超大规模集成电路、存储器及 微处理器等技术不断问世,并随着工艺的进步及成熟在多领域实现广泛应用,尤 其是家电行业成为该时期推动半导体增长的主要原因,此外,计算机在 B 端的 应用也逐渐成为推动行业增长的关键因素。

➢ 第三阶段:90 年代-2010 年,PC 在 C 端的普及推进半导体行业繁荣 发展。随技术的不断进步及成本降低,PC 逐渐被 C 端接纳并普及,众多 IC 厂 商将资源集中于 PC 业务并取得了长足的发展。

➢ 第四阶段:2010 年以来,以智能手机为主的消费电子产品取代 PC 成 为新的驱动力。智能手机的风靡及移动互联网的普及推动了存储芯片及通信芯 片需求的爆发,智能手机行业取代已增长乏力的 PC 行业,成为推动半导体产业 发展的新动力。但是同样,经过近几年的发展,智能手机为半导体行业带来的红 利也逐渐消失。

当前是继 PC 与智能手机之后,5G、AI、IoT、云计算以及汽车电子等新兴应用 领域崛起的起点,市场规模的壮大对半导体的需求与日俱增,有望带动半导体进入 新一轮景气周期。

半导体产业所处周期阶段可通过三周期框架判断。中国市场因力图提高国产化 率,国内半导体企业与产业处于成长性阶段。通过前述半导体行业存在的三周期框 架,我们可以很好地描述半导体在某区域范围内的周期特点。从全球范围看,当前半 导体需求端新技术/新产品已经出现,但新需求动能尚未完全铺开,新产品周期或正 处于大规模释放前夜,老产品存量需求不可忽视,因此,资本开支/产能周期与库存 周期在短期内仍将作为主导全球半导体产业增长的首要因素;而处于全球半导体产 业第三次转移浪潮中的中国半导体,在政策与资金的大力支持下,则呈现出更强的 成长性特点。

1.3、 中国市场:全球性产业转移赋能行业高速增长

1.3.1、 历次产业转移均造就一批巨头企业

2010s 以来,全球半导体产业向中国转移的趋势增强,当前中国正迎来历史性的 发展机遇,有望诞生一批本土的半导体龙头企业。由于集成电路占半导体产业收入 比例达 80%以上,可较准确反映整个半导体产业,因此本节下文中以集成电路作为 主要研究对象来反映半导体产业的转移情况。

1.3.2、 全球集成电路产业向中国加速转移

与全球集成电路产业和 GDP 的相关性不同,自 2013 年以来,中国集成电路增 长与 GDP 波动发生背离,并在全球集成电路市场萎靡的 2015-2016 年实现逆势增 长,表明得益于全球性的产业转移,中国集成电路产业正处在崛起的风口。2018 年, 中国集成电路产业实现收入 6532 亿元,同比增长 20.7%;在过去 5 年中,中国集成 电路产业平均增速超过 20%。

(1)转移的可能性:摩尔定律放缓有利于中国追赶国际一流技术水平

进入 10nm 尺寸,摩尔定律明显放缓。1965 年,Intel 创始人之一 Gordon Moore 提出了摩尔定律:当价格不变时,集成电路上可容纳的元器件的数目,约每隔 18-24个月便会增加一倍, 性能也将提升一倍。摩尔定律揭示了信息技术进步的速度,这 一推测的有效性已持续半个世纪,当前芯片可容纳晶体管从 1971 年的 2000 余个发 展至几十亿个。但进入 10nm 尺寸后,摩尔定律明显放缓。以 Intel 为例,过去 10 年, Intel 以 Tick-Tock 的开发模式捍卫摩尔定律——即先升级芯片制造工艺(Tick),次年 推出相同工艺新一代微架构(Tock),Tick 和 Tock 产品间隔 12-18 月,通常 Tock 产品 会在性能上大幅提升。基于 Tick-Tock 开发模式,Intel 处理器的制造工艺从 65nm 发 展至现在的 14nm。按 Tick-Tock 周期,Intel 本应在 2015 年推出 10nm 工艺处理器, 但进入 10nm 尺度(20 个硅原子宽度),晶体管更小型化的制造难度不可同日而语。 自 2015 年 1 月发布 14nm Broadwell 至今 5 年,Intel 主流产品的制程工艺仍维持在 14nm(仅少量 10nm Ice Lake 处理器进入笔记本市场),只是将发展模式从 Tick-Tock 变为 Tick-Tock-优化。发展至今,Tick-Tock 周期难以维持,表明工艺节点进入 10nm 以后,摩尔定律已明显放缓。目前来看,摩尔定律依然有效,只是从 22nm 节点开始 步伐放缓,在进入 10nm 节点后体现得十分明显。

在摩尔定律步伐放缓的趋势下,全球范围内芯片技术的发展有所减慢,有利于 中国半导体产业链相关企业趁机追赶国际一流技术水平,为全球半导体产业向中国 转移提供了技术上的可能性。

(2)转移动力:庞大市场需求牵引产业转移,国产化率低亟待供应链崛起

中国是全球第一大消费电子生产国和消费国,也是全球半导体/集成电路最大的 销售市场。集成电路已成中国最大进口商品,市场供需错配状况亟待扭转。中国集 成电路市场规模巨大,占全球集成电路市场半壁江山。我国集成电路行业起步较晚, 工艺技术及产能均难以满足下游庞大市场的需求。根据 IC Insights 数据,2018 年中 国集成电路市场规模 1550 亿美元,自产 238 亿美元,自给率仅为 15.3%,远不能满 足本土市场需求。2019 年,中国集成电路进口额约 3055 亿美元,是我国第一大进 口商品。

(3)转移基础:产业链较为完善

当前,中国集成电路产业已经形成了集 IC 设计、芯片制造、封装测试三大主 要环节以及设备、原材料等支撑配套环节共同发展的较为完善的产业链布局。在各 个环节,都涌现出了一批优质的企业,例如华为海思、紫光展锐、中兴微、兆易创新 (603986.SH)等芯片设计公司,以中芯国际(0981.HK)、华虹集团、上海先进为 代表的芯片制造商,以及以长电科技(600584.SH)、华天科技(002185.SZ)、通富 微电(002156.SZ)等为龙头的芯片封测企业。

(4)转移路径:封测率先发展,制造迎来高速发展

中国大陆在 IC 封测环节已经具有国际竞争力。IC 封测产业起初属于劳动密集 型产业,中国凭借相对低廉的劳动力成本率先在该领域发展起来。2015 年以前,IC 封测是中国在集成电路产业中产值最大的部分,曾经一度占有超过 60%的产值份额; 随着国内 IC 封测产业的成熟,收入增幅明显低于制造及设计领域,2016 年,IC 设 计超越封测成为中国在集成电路产业中占比最大的细分领域。在封测领域,中国技 术水平世界领先,体量已经进入世界前三位,且发展速度显著高于其他竞争对手。目 前国内 IC 封测领域已经形成四大领军企业,长电科技(600584.SH)、华天科技(002185.SZ)、通富微电(002156.SZ)及晶方科技(603005.SH)。

“产能为王”,本轮产业转移重点将在 IC 制造环节实现突破。全球集成电路产 业加速向中国转移以来,大陆迎来建厂潮,IC 制造业迅速发展。2015 年,IC 制造业 超越 IC 设计业成为中国集成电路产业发展最快的环节。2015-2018 年,IC 制造业复 合增速约 31%。根据 SEMI 分析,预计 2017-2020 年,全球新投产的 62 座晶圆厂中 将有 26 座来自中国大陆,晶圆产能将翻倍提升。与此同时,中国大陆半导体建厂热 潮,将直接为中国大陆半导体设备和材料行业打开更大的市场空间。

中长期来看,全球半导体产业加速向中国转移将加速催生一批优秀的龙头企业。 中国正处于全球半导体产业第三次转移的历史机遇期,与全球成熟市场较为明显的 周期性不同,中国半导体行业的成长性更加突显。中国是全球最大的半导体/集成电 路销售市场,但工艺技术及产能均难以满足下游庞大市场的需求,巨大的市场蛋糕 及严重的供给错配为中国大陆发展半导体产业提供了充足动力。当前中国大陆封测 龙头企业的收入规模已处于世界前列,拥有较强的国际竞争力,本次产业转移的重 点将在 IC 制造领域实现突破。中国大陆正迎来投资建厂热潮,这将直接提振对半导 体设备及原材料的需求。

1.3.3、 大基金二期募集完成

集成电路是信息产业的基础与核心,是国民经济和社会发展的战略性产业,国 家很早就出台了多部产业扶持政策,鼓励集成电路产业的发展。国务院于 2003 年启 动中长期科技发展规划的制定工作,并于 2006 年完成发布《国家中长期科学和技术 发展规划纲要(2006--2020 年)》(以下简称《规划纲要》)。《规划纲要》确定了核心 电子器件、高端通用芯片及基础软件、极大规模集成电路制造技术及成套工艺、新一 代宽带无线移动通信、大型飞机、载人航天与探月工程等十六个重大专项,完成时限 为十五年左右,这些重大专项是我国到 2020 年科技发展的重中之重。其中 “极大 规模集成电路制造技术及成套工艺”(“02 专项”)帮助我国集成电路产业在诸多 方面取得了重大进展。

从 2008 年开始,国家开始组织“02 专项”开展集成电路制造装备、成套工艺和 材料技术攻关,掌握核心技术,研发关键产品,中国集成电路产业在六个方面取得 突破:1)高端国产装备从无到有。“02 专项”实施前,12 英寸国产装备完全是空 白,国内只有“863”重大专项支持的两台 8 英寸设备,到 2015 年时已有 16 种 12 英 寸装备进入大生产线使用,整体技术水平达到 28nm。其中 10 种关键装备实现销售 或进入采购流程,29 种封测设备形成整线集成能力。 2)制造工艺取得长足进步。 “02 专项”实施前,我国工艺的量产技术是 110nm,研发技术是 90nm,到 2015 年 65/55nm 成套工艺产品累计销售 40 亿元,28nm 工艺正式量产,20/14nm 关键技术进入研发。 3)高端封装实现跨越。“02 专项”实施前,我国的封装技术主要集中于中低端,2015年高密度封装开始量产,进入高中端封装,铜凸块等技术实现自主创新,3D 封装取 得突破。4)关键材料产品批量进入市场。“02 专项”实施前,集成电路用关键材料 全部依赖进口,2015 年 8 英寸的硅片 SOI 大量进入市场,抛光液和靶材开始进入市 场,打破了一批 8~12 英寸集成电路用关键材料的市场垄断。5)积累了大量知识产 权。自“02 专项”实施以来,自主知识产权体系建设取得成果,在国际最新技术代 上开始拥有一席之地;6)专项成果辐射应用。专项成果在光伏、LED 等相关半导体 领域得到广泛应用,集成电路制造产业生态得到大幅改善,产业链基本形成。

2016 年,国家根据“十三五”规划纲要部署编制《“十三五”国家战略性新兴产业 发展规划》,对“十三五”期间包括集成电路在内的战略性新兴产业发展目标、重点 任务、政策措施等作出全面部署安排。其中集成电路发展工程的具体内容:“启动集 成电路重大生产力布局规划工程,推动产业能力实现快速跃升。加快先进制造工艺, 存储器,特色工艺等生产线建设、提升安全可靠 CPU、数模/模数转换芯片,数字信 号处理芯片等关键产品设计开发能力和应用水平。推动封装测试、关键设备和材料 等产业快速发展。支持提高代工企业及第三方 IP 核企业的服务水平,支持设计企业 与制造企业协同创新,推动重点环节提高产业集中度”。从《规划》可以看出,储存 器等高端芯片是“十三五”期间的发展重点,在芯片制造方面要大规模建设先进制造 和特色工艺生产线,并通过芯片设计和制造的快速发展带动上游装备材料和下游封 测厂商的快速成长。

近年来,集成电路产业政策频出。政府先后出台了一系列规范和促进集成电路 行业发展的法律法规和产业政策,同时通过设立产业投资基金、鼓励产业资本投资 等多种形式为行业发展提供资本助力。集成电路的资金投入在行业发展初期,仅靠 企业很难承担。发展集成电路是国家战略方向,鼓励政策不断推出。2014 年 6 月, 国务院颁布了《国家集成电路产业发展推进纲要》,提出设立国家集成电路产业基金 (简称“大基金”),将半导体产业新技术研发提升至国家战略高度。 “大基金”于 2014 年 9 月 24 日正式设立,其募集和投资分为两期。

“大基金”一期着重关注集成电路制造领域,兼顾芯片设计、封装测试、设备和 材料等。“大基金”一期总规模 1387 亿元人民币,据上海证券报 2018 年 1 月报道, “大基金”一期已基本投资完毕(目前仍有个别项目在推进中),投资范围涵盖集成 电路产业上、下游各个环节,包括设计、制造、封测、设备和材料等。根据集微网统 计,大基金一期的投资方向以 IC 制造为主,在各环节投资的比例分别为:集成电路 制造 67%,设计 17%,封测 10%,装备材料类 6%。“大基金”一期投资方式包括公 开股权投资、非公开股权投资、协助并购以及投资相关子基金公司等等,累计有效投 资项目达到 70 个左右。

“大基金”第二期募集完成,注册资本超 2000 亿,有望打造自主可控产业链。国 家集成电路产业投资基金二期股份有限公司已于 2019 年 10 月 22 日注册成立,注册 资本为 2041.5 亿元,共有 27 位企业法人股东,包括财政部、国开金融、上海国盛 (集团)有限公司、中国烟草总公司、中国电信以及重庆战略性新兴产业股权投资基 金合伙企业(有限合伙)等多只地方投资基金等,其中国家财政部出资 225 亿元,占 比 11.02%;国开金融 220 亿元,占比 10.78%;中国烟草认缴 150 亿元,占比 7.35%。 “大基金”二期将在 IC 设备与材料领域给予重大支持:

➢ 支持龙头企业做大做强,提升成线能力。“大基金”二期将对在刻蚀机、薄膜 设备、测试设备和清洗设备等领域已布局的企业高度支持,推动龙头企业做 大做强,形成系列化、成套化装备产品;对照《纲要》填补空白,加快光刻 机、CMP 等核心设备以及关键零部件的投资布局,保障产业链安全。

➢ 产业聚集,抱团发展,组团出海。推动建立 IC 装备产业园区,吸引零部件 企业集中投资设立研发中心或产业基地,实现资源人才聚集,加强上下游联 系交流,提升研发和配套能力,形成产业聚集的合力;推动国内外资源整合、 重组,壮大骨干企业,培育中国大陆的“应用材料”或“东电电子”。

➢ 继续推进国产装备材料的下游应用。发挥基金全产业链布局的优势,推进 装备与制造、封测企业的协同,加强所投企业上下游结合,加速装备从验证 到“批量采购”的过程,为本土装备材料企业争取更多的市场机会。督促制造 企业提高国产装备验证及采购比例,为更多国产设备材料提供工艺验证条 件,扩大采购规模。

国家大基金有望发挥杠杆属性,万亿投资促进产业实现跨越式发展。大基金一 期注册资本为 987.2 亿元,最终募集 1387 亿元,据中国经济时报报道,在大基金一期的带动下,相关的新增社会融资约 5145 亿元。大基金二期投资规模将超 2000 亿 元,如果按照 1:5 的撬动比,投资期引入集成电路产业的总金额将超万亿元,我国集 成电路产业有望迎来新的密集投资期,打造更先进完整的自主可控产业链。

科创板为半导体产业提供更市场化的融资平台,促进资本全方位支持集成电路 产业链。中国半导体产业仍处于追赶世界一流水平的过程中,又是人才和资本密集 型行业,政策和资金方面的大力支持是产业发展的关键要素。目前来看,大基金是最 直接的资金支持来源,但“大基金”投向的项目多为国资背景大项目,市场化程度依然 不够,无法满足整个半导体行业的融资需求。设立科创板是资本市场的一次重要改 革,目的是增强资本市场对科技创新企业的包容性,着力支持关键核心技术创新,提 高服务实体经济能力。《上海证券交易所科创板企业上市推荐指引》明确指出科创板 企业上市重点推荐新一代信息技术、高端装备、新材料等七大领域,其中半导体和集 成电路正是新一代信息技术领域的重点行业。科创板为半导体和集成电路企业提供 了相对宽松的上市标准和便捷的融资渠道,有利于引导资本进入半导体产业促进其 发展,也有望更深入地发掘具有真正核心技术的半导体企业的估值水平。

2、 半导体设备行业:硅片、晶圆产能兴建 国产替代遇良机

2.1、 全球市场:2020 年全球半导体设备市场有望回暖

2.1.1、 2018-2019 年存储器供过于求 投资削减致使设备市场遇冷

受资本开支削减影响, 2019 年全球半导体设备市场销售额同比下降 10.8%。根 据 SEMI 预测数据,2019 年全球半导体设备市场规模为 576 亿美元,受资本开支削 减的影响,较 2018 年 646 亿美元下降 10.8%。其中,中国台湾地区半导体设备市场 规模 156 亿美元,占比 27.0%,超越韩国成为全球最大的半导体设备市场;中国大陆 市场规模 129 亿美元,占比 22.4%,连续两年位居第二;韩国市场规模 105 亿美元, 占比 18.3%,因缩减资本支出下滑至第三。北美、日本、欧洲则分别以 78、60、22 亿美元的市场规模位居榜后。

中国台湾半导体设备市场规模同比大增 53.3%,韩国大幅萎缩 40.6%,中国基 本持平。2019 年,中国台湾半导体设备市场销售额同比增长 53.3%,北美增长率其 次,达 33.4%;除中国台湾与北美外,全球其他地区市场规模都有不同程度的萎缩, 其中韩国降幅最大,约 40.6%,中国大陆微降 1.5%。2017 年,全球半导体市场受到 智能手机及数据中心用存储器需求的拉动,实现了罕见的高增长,存储器厂商也不 断增加投资以扩大产能;2018 年下半年开始,全球存储器的供给量增加,智能手机 和数据中心的半导体需求低迷,供过于求逐渐明显,各厂商开始调整增产计划。随着 三星、SK 等放缓投资,推迟产能扩充计划,2019 年韩国半导体设备市场出现了较大 幅度的下滑。受存储器市场放缓、贸易紧张等多种因素影响,SK、SMIC 以及 UMC 等晶圆厂都放缓了在中国大陆市场的投资支出,导致 2019 年中国大陆设备市场小幅 下滑。中国台湾代工厂受先进制造的拉动,在 7nm、5nm 及 3nm 等先进制程的资本 支出加大,设备市场规模出现较大幅度增长,跃居至第一位。

近 5 年半导体设备市场的增长主要由中国大陆、中国台湾以及韩国驱动。20142019 年,全球半导体设备市场规模的 CAGR 为 9.0%,其中中国大陆、中国台湾以及 韩国半导体设备市场规模的 CAGR 依次为 24.2%、10.6%以及 9.0%,是驱动全球增 长的主要动力。

在整个半导体制造流程中,晶圆制造所使用的前道设备占比超过 80%。半导体 制造流程包括硅片制造、晶圆制造、封装测试三个主要环节,根据 SEMI2018 年数 据,晶圆制造设备占比最高约 81.5%、检测设备占 8.3%、封装设备占 6.0%、硅片制 造及其他设备(如掩膜制造设备)占 4.2%。

全球半导体设备市场在 2020 年将逐渐回暖,并于 2021 年再创历史新高。 自 2019 年 10 月至今,北美半导体设备出货额已连续 4 个月同比正增长,2020 年 1 月实现增 长 22.9%,给出了半导体产业回暖的信号。此外,作为全球半导体设备主要供应地之一的日本,其半导体设备的进出口状况颇具代表性,2019 年 12 月,日本半导体设备 的出口额激增 26%,为全球半导体需求的好转再添佐证。据 SEMI 预计,2020 年全 球半导体设备销售额将同比增加 5.5%,达到 608 亿美元;且此成长态势可望延续至 2021 年,创下 668 亿美元的历史新高。SEMI 还预计,2020 年中国台湾将维持全球 第一大设备市场的位置,销售金额将达 154 亿美元,中国大陆以 149 亿美元居次, 韩国则以 103 亿美元排名第三;展望 2021 年,中国大陆将以 160 亿美元的销售额跃 升至全球第一大设备市场。

存储器厂及代工厂增加资本支出是拉动半导体设备市场规模增长的直接因素, 5G、AI、IoT、云计算以及汽车电子等新兴领域对半导体产品的需求才是本质原因。 全球半导体巨头三星电子、台积电、英特尔一致调高 2020 年的资本支出力度。台积 电表示将 2020 年资本开支计划由原订的 110 亿美元上调至 150 -160 亿美元;三星电 子将在未来十年中投入 1160 亿美元推动其在逻辑芯片制造领域的扩张;英特尔将 2020 年的资本支出设定为 170 亿美元(+4.9%),不仅要增加现有 14/10nm 工艺的 产能,还要使用一半以上的支出对下一代 7/5nm 工艺进行投资。三大半导体巨头增 加资本支出固然是拉动半导体设备产业增长的直接因素,深层次的原因则是 5G、AI、 IoT、云计算以及汽车电子等新兴领域的崛起对先进工艺半导体产品产生了需求。

2.1.2、 竞争格局高度集中 市场由海外厂商主导

全球半导体设备产业高度集中,且“大者愈大”趋势明显。根据芯思想研究院的 统计数据,2019 年,全球半导体制造设备市场规模 576 亿美元,其中前五大半导体 设备厂合计实现销售收入 456 亿美元,市占率高达 79.3%,前十大半导体设备厂合计 实现销售收入 544 亿元,市占率达 94.4%。国际半导体企业历经 50 年的发展,由全 盛时期的数百家,通过并购整合等措施缩减至目前的数十家,细分领域的垄断程度 越来越高,形成“大者愈大”的局面。

全球主要半导体设备制造商主要集中在美国、日本、荷兰等国。从企业分布来 看,全球知名的半导体设备制造商主要集中在美国、日本、荷兰等国家;从企业主要 的半导体设备产品看,美国主要控制等离子刻蚀设备、离子注入机、薄膜沉积设备、 掩膜版制造设备、检测设备、测试设备、表面处理设备等,日本则主要控制光刻机、 刻蚀设备、单晶圆沉积设备、晶圆清洗设备、涂胶机/显影机、退火设备、检测设备、 测试设备、氧化设备等,而荷兰则是凭借 ASML 的高端光刻机在全球处于领先地位。 从半导体设备大厂 2019 年销售排名来看,应用材料(Applied Materials)凭借其沉积、 刻蚀、离子注入以及 CMP 等多领域的技术优势继续保持领先;而阿斯麦(ASML) 则 依靠其在光刻设备领域的绝对领先优势,尤其是 EUV 设备,重回第二名;国内生产 线已成为日本制造商的大客户,东京电子(Tokyo Electron)凭借其在沉积、刻蚀以及匀 胶显影设备等领域的竞争力,排名第三;泛林半导体( Lam Research)凭借其刻蚀、沉 积以及清洗设备的表现,排名第四;科磊(KLA)依靠其检测、量测设备排名第五。

保持创新能力、持续研发投入、择机外延并购以及全球范围整合优质资源,是 国际主流半导体设备厂商保持竞争力的主要手段。纵观国际半导体设备产业的发展 可以看出,国际主流半导体设备厂商保持其强者地位的主要途径有以下几点:1)大 比例研发投入,持续创新。随着摩尔定律演进,半导体制造工艺节点对设备行业更新 换代和技术进步不断提出更高的要求。设备厂商需要持续大比例的研发投入,推动 创新以保持技术领先,从而确保其在设备产业的竞争力;2)并购整合,加速企业发 展。并购整合在半导体设备产业中的表现日趋突出,也是各大设备厂商得以实现快 速成长、提升竞争力的重要手段;3) 非核心业务外包,整合全球优质资源。将非核心业务外包给在领域或环节中具有更专业技能的独立厂商,只保留核心价值创造活 动的经营模式已成为一种趋势。

2.2、 中国市场:市场规模全球第二 本土企业崛起可期

2.2.1、 市场规模近千亿 自给能力有限

中国大陆的半导体设备需求量大,但自给率低。2010 年以来,中国半导体制造 的规模发展迅猛,对设备的需求不断增长,但本土设备配套能力不足的弊端也日益 突出。2018 年,中国半导体设备需求激增,同比增长 58.9%,超过全球设备产业增 长速度的 4 倍;2019 年,在整个半导体产业萎缩,全球半导体设备销售额下降 10.8% 的大背景下,中国半导体设备市场需求仍然基本持平。根据中国电子专用设备工业 协会 2018 年数据,国产半导体设备企业实现销售额 109 亿元,自给率仅约 13%。

2.2.2、 国产设备厂商迅速崛起 多种关键设备可国内配套

“02 专项”启动以来,我国半导体设备实现了从无到有、由弱到强的巨大转变, 在刻蚀机、磁控溅射、离子注入机、CVD 以及清洗机等领域实现重大突破,总体技 术水平达到 28nm, 多种 14nm-10nm 关键设备进入客户生产线。中国半导体产业的 大规模发展起始于 2000 年 6 月国家颁布的《鼓励软件产业和集成电路产业发展的若 干政策》,而半导体设备产业的快速发展则是起始于“02 专项”;2014 年 6 月,国 家发布《国家集成电路产业发展推进纲要》,首次明确半导体设备产业的发展任务和 目标,中国半导体设备产业进入快速发展期。“02 专项”实施前,我国 IC 高端装备 几乎完全依赖进口,经过十年的快速发展,目前国产刻蚀机、PECVD、LPCVD、磁 控溅射、离子注入机等 30 多种关键设备研制成功并通过主流生产线考核,总体技术 水平达到 28m,多种 14nm-10nm 关键设备开始进入客户生产线。根据国家科技重大 专项 2017 年的报道,据不完全统计,“02 专项”研制的 IC 高端装备实现销售超过 300 台,国产零部件销售超过 350 台(套) ,在泛半导体领域累计销售超过 6500 台, 销售额从 2010 年以前的不足 20 亿元,上升至 2018 年的 100 亿元以上,近五年复合 增长率接近 30%。

随着中国半导体设备产业的快速发展,涌现出了一些优秀的国产设备制造商。 在干法刻蚀机方面,中微公司(688012.SH)的介质刻蚀机在海内外一流客户的生产 线上不断取代美国垄断公司的设备,目前已有超过 240 台刻蚀机在亚洲 20 多条生产 线上稳定、可靠地运转;北方华创(002371.SZ)是国内可提供 IC 设备品类最多的公 司,包括刻蚀机、PVD、氧化炉、LPCVD、清洗机、ALD、外延设备以及气体质量 流量计等,已在国内外主流生产线上广泛使用。由于国产等离子刻蚀机技术水平的 大幅提升,2015 年美国国土安全局宣布取消对刻蚀机产品的出口审查。其他设备方 面,中科信的离子注人机、沈阳拓荆的 PECVD、盛美半导体的清洗机、芯源微 (688037.SH)的匀胶机、华海清科的 CMP、上海睿励的光学尺寸测量设备等一批 300mm 的高端设备均已在过内外客户中批量使用。在 IC 领域的主要关键设备方面, 中国已基本具备自主研发能力,本地化配套能力显著增强。

随着整个半导体产业向中国的转移以及中国半导体设备市场需求的增加,未来 2~3 年将是中国半导体设备产业发展的黄金期。

2.3、 中国各类设备市场规模预测:硅片、晶圆产能兴建将拉动设备市场 增长

半导体制造流程主要包括硅片制造、晶圆制造、封装测试三个主要环节,在成熟 市场中,晶圆制造设备占比约 80%,检测、封装、硅片制造及其他(如掩膜制造)设 备占比依次约为 8%、6%、3%以及 3%。

➢ 硅片制造设备,是指将半导体级硅制造成一定直径和长度的单晶硅棒材,再 经过一系列的机械加工、化学处理等工艺流程,制造成具有一定几何精度要 求和表面质量要求的硅片/外延硅片,为晶圆制造提供所需衬底的设备,主 要包括单晶炉、切割机、磨片机、刻蚀机、抛光机、清洗机以及检测设备等。

➢ 晶圆制造设备,是指在硅片上加工制作各种电路元件结构,使之最终形成具 有特定电性功能所用到的设备,主要包括光刻机、刻蚀机、薄膜沉积设备、 离子注入设备、热处理设备、清洗机、抛光机以及检测设备等。

➢ 封装设备,是指将晶圆裸片装配为芯片过程中所使用到的设备,包括晶圆减 薄机、切割机、黏片机、引线键合机等设备。

➢ 测试设备,是指在整个生产过程中或几道关键工序后,对硅片或晶圆的质 量、性能进行量检测的设备,主要包括厚度仪、颗粒检测仪、硅片分选仪以 及 ATE 等。

2.3.1、 硅片制造设备:受益大硅片产能建设

预计硅片缺货 2021 年才能缓解,全球对 12 英寸硅片的需求强劲。2018 年,全 球硅片出货量达 127 亿平方英尺,2019H1 实现出货量 60 亿平方英尺。受益于近年 来智能手机、IoT、人工智能等产业的快速发展,各类集成电路产品需求不断增长, 上游硅片材料的市场需求也随之增加。2013-2018 年,全球硅片出货量稳定增长, CAGR 约为 7.0%。2019H1,受半导体行业整体景气度不佳的影响,全球硅片出货量 下降 3.4%。全球硅片生产厂商集中度高,TOP5 厂商(日本信越、日本 SUMCO、中 国台湾 Global Wafer、德国 Siltronic 和韩国 LG Siltron) 占据硅片市场 94%的份额, 在 12 英寸硅片领域的份额更是高达 97.8%。当前 8 英寸及 12 英寸是硅片的主流尺 寸,按出货面积,两者分别占据总出货面积的 26.34%及 63.31%,合计近 90%。据 SUMCO 预计,半导体硅片的缺货情况要到 2021 年才能缓解,其中 12 英寸硅片的需 求最为强劲。

为改变我国大硅片严重依赖进口的形势,多项 8 英寸/12 英寸硅片项目正在启动中,必将带动国内硅片制造设备生厂商的快速发展。我国硅片产能集中在 6 英寸及 以下,4-6 英寸硅片基本可以满足需求,大硅片的生产牢牢掌控在海外厂家手中,目 前 12 英寸硅片几乎全部依靠进口。随着国内晶圆厂的陆续建成,大硅片的紧缺情况 将更加明显。为弥补半导体硅片的供应缺口,降低进口依赖程度,我国正积极迈向 8 英寸与 12 英寸硅片生产,多项重大投资正在启动中。根据芯思想研究院统计,截至 2019H1 宣布的 12 英寸硅片建设项目多达 20 个,总投资金额超过 1400 亿,规划产 能至 2023 年前后将达 650 万片/月,若加上天芯硅片、中芯环球、济南项目,规划产 能将达 800 万片/月,约是 2018 年全球需求的 2 倍。随着大硅片项目投资的持续推 进,国产硅片制造设备厂商必将迎来快速发展的机遇。

预计 2019-2020 年,国内将新增硅片制造设备市场规模 95(保守)/399(乐观) /247(中性)亿元。根据芯思想研究院数据,截至 2018 年底,中国 12 英寸晶圆制造 厂装机产能 60 万片/月,8 英寸晶圆晶制造厂装机产能 90 万片/月。根据 2018 年 9 月,中国集成电路产业发展研讨会上杨德仁院士的演讲数据,需求方面,预计 2020 年 8 英寸、12 英寸硅片需求分别可达 96.5 万片/月、105 万片/月;供给方面,预计 2020 年 8 英寸、12 英寸硅片新增产能分别可达 168 万片/月(其中 23 万片在 2018 年 建成)、145 万片/月,若国内大硅片产能建设计划顺利推进,2020 年国内 8 英寸及12 英寸硅片产能将覆盖国内需求。以满足 2020 年对大硅片的需求量为保守预测标 准,以截至 2020 年的新建产能作为乐观预测标准(若硅片项目进展顺利,2020 年供 给足以覆盖需求,因此将其作为乐观预测标准),以二者的均值作为中性预测,则 2019-2020 年国内将新增硅片制造设备市场规模 95(保守)/399(乐观)/247(中性) 亿元。硅片制造设备总市场规模、各类型设备的细分市场规模计算过程如下。

2.3.2、 晶圆制造设备:大陆产线将陆续进入设备采购高峰期

2017-2020 年中国大陆大举兴建晶圆厂,多条产线将陆续进入设备采购高峰期。 根据 SEMI 统计,2017-2020 年全球将有 62 座新晶圆厂投产,其中将有 26 座新晶圆 厂座落中国,占比达到 42%。中国产业信息网数据显示,一条新建产线最大的资本 支出来自于半导体设备,资本支出占比高达 80%,而厂房建设占比仅 20%。未来两 年,大陆存储器/晶圆厂产线将陆续进入设备采购高峰期,本土晶圆制造设备厂商迎 来加速获取订单的重要时期。

预计 2020/2021 年晶圆制造设备市场规模接近千亿元。晶圆制造环节是生产链 条里最重资产的一环,晶圆制造设备投入占总设备投入的 80%左右。根据 SEMI 估 测数据,在晶圆加工设备投资中,光刻机投资占比最高达到 30%,其次为 CVD 约占 20%,排名第三的是 PVD 占比 15%,其后分别为刻蚀、氧化扩散炉、RTP、离子注 入、剥离、抛光等设备。另外,检测、封装设备投入占总设备比例分别约为 8%及 6%。 根据 SEMI 预测,2020/2021 年中国半导体设备销售额将达 149/164 亿美元,若按上 述比例估算,晶圆制造、检测、封装设备的市场规模将依次达到 119/131 亿美元 (831/916 亿元)、12/13 亿美元(84/91 亿元)以及 9/10 亿美元(63/70 亿元)。

3、 半导体设备全面介绍:多种关键设备本土配套能力较强

以下表格先行对半导体制造的主要设备进行了梳理。

3.1、 硅片制造设备:中性预测年均 124 亿元市场规模

半导体硅片的生产流程包括拉晶—>整型—>切片—>倒角—>研磨—>刻蚀—> 抛光—>清洗—>检测—>包装等步骤。其中拉晶、研磨和抛光是保证半导体硅片质量 的关键。涉及到单晶炉、滚磨机、切片机、倒角机、研磨设备、CMP 抛光设备、清 洗设备、检测设备等多种生产设备。

(1)单晶硅生长:年均 31 亿规模

单晶硅锭的生长普遍采用 CZ 直拉法,通过 CZ 直拉单晶炉实现。单晶硅生长 是指把半导体级多晶硅块熔炼成单晶硅锭。单晶硅锭的制备主要有两种工艺,CZ 直 拉法及区熔法,当前 85%以上的单晶硅是采用 CZ 法生长出来的。 1)CZ 直拉法。 多块半导体级硅被放置于石英坩埚中(非晶),并加入少量的掺杂材料以便最终可获 得 n 型/p 型硅;使用电阻/RF 加热熔化坩埚中的材料,获得熔体;一块完美的籽晶于 熔体表面边旋转边缓慢拉起,随着籽晶的拉出,界面向下朝着熔体方向凝固,与籽晶 具有相同晶向的单晶就逐步形成了。2)区熔法。将掺杂好的多晶硅棒和籽晶固定于 生长炉中的两端,用 RF 线圈加热籽晶与硅棒的接触区域,并沿着晶棒轴向移动,经 过局部加热-熔化-重新凝固的过程实现单晶硅的制备。由于不使用坩埚,区熔法生长 的硅纯度更高,但典型区熔法制备的硅锭直径相比直拉法小,只适用于 150mm 及以 下的硅片生产,应用于功率半导体等领域。

国内 8 英寸单晶炉逐步国产化,12 英寸实现小批量供应。进口单晶炉厂商主要 包括美国林顿晶体技术公司、日本菲洛泰克株式会社、德国普发拓普股份公司;国内 单晶炉在 8 英寸领域已逐步实现国产化, 12 英寸领域实现小批量供应,代表企业包 括晶盛机电(300316.SZ)、南京晶能、北方华创(002371.SZ)、京运通(601908.SH)、 西安理工晶体等。晶盛机电(300316.SZ)承担的“02 专项——300mm 硅单晶直拉 生长设备的开发”、“8 英寸区熔硅单晶炉国产设备研制”两大项目均已通过专家组 验收,8 寸直拉和区熔单晶炉均已实现产业化,客户覆盖有研半导体、环欧半导体、 金瑞泓等企业;12 英寸半导体级单晶炉已量产。南京晶能则率先实现 12 英寸直拉单 晶炉的国产化,,已进入新昇半导体大硅片产线。

(2)整型:年均 12 亿规模

硅锭生长完成后、切片工作进行前需要进行整型工作,所需设备主要包括滚磨 机、截断机。硅锭在拉晶炉中生长完成后,要经过系列处理以达到切片前的所需状 态,包括去掉两端、径向研磨以及定位边/定位槽制作。1)去掉两端。截断籽晶端及 非籽晶端。2)径向研磨。由于在晶体生长过程中硅锭直径及圆度的控制难以达到十 分精确,因此硅锭都需长得稍大些再通过径向研磨来产生精确的材料直径。3)制作 定位边/定位槽。定位边/定位槽用来标记硅片的晶向以及导电性能,200mm 以上的硅 片以定位槽为主。

目前,国内滚磨机的制造厂商主要有晶盛机电(300316.SZ)、京仪世纪等;国 外厂商主要有日本东京精机工作室。

(3)切片:年均 6 亿规模

200mm 以下硅锭多用内圆切割机完成切片,300mm 采用线切割。切片是指将 硅锭切割成一定厚度的硅片,目前主要采用内圆切割及线切割两种方式进行。当前 对于200mm及以下尺寸的硅片,主要采用带有金刚石切割边缘的内圆切割机来切片; 对于 300mm 的硅片,采用线切割机来切片,线切割通过一组钢丝带动碳化硅研磨料 进行研磨加工切片。内圆切割属于一类传统的硅片加工方法,它的局限在于材料利 用率只有 40%~50%,同时由于结构的限制,也无法加工直径大于 200 mm 的硅片; 与内圆切割相比,线切割具有切割效率高、刀损小、成本低、切片表面质量好、可加 工硅碇直径大、每次加工硅片数多等诸多优点。

由于切片机对精度控制和稳定性有很高的要求,国内外技术差别较大,目前多 数依赖进口。内圆切割机方面,国外厂商主要为日本东京精密,多线切割机方面,国 外厂商主要有日本小松株式会社(NTC)、瑞士 SlicingTech 公司;国内中电科 45 所 在内圆切割机及多线切割机方面均有所布局。

(4)磨片及倒角:年均 12 亿规模

磨片和倒角使切割后的硅片提高平整度、降低边缘缺陷,相关设备包括磨片机 及倒角机。切片完成后,要进行双面的机械磨片以去除切片时留下的损伤,达到硅片两面高度的平行和平坦,即磨片。在硅片制备过程的许多步骤中,平整度是关键的参 数。通过硅片边缘抛光修整使硅片边缘获得平滑的半径周线,即倒角。硅片边缘的裂 痕和小缝隙会在后续的加工中产生应力及位错,平滑的边缘半径会将这些影响降到 最低。磨片及倒角所使用的设备分别为研磨机/磨片机及倒角机。

倒角机以国外厂商为主,研磨机/单面磨片机国内厂商已有布局。倒角机方面, 国外品牌主要为日本东京精密以及日本 SPEEDFAM,国内暂无大批量生产厂商。研 磨机方面,国外厂商主要包括日本 SPEEDFAM、日本浜井(HAMAI)、德国莱玛特·奥 尔特斯、美国 PR HOFFMAN、英国科密特(kemet)等,国内主要厂商有晶盛机电 (300316.SZ)、宇晶股份(002943.SZ)及赫瑞特等。双面磨片机方面,国外主要厂 商为日本光洋(Koyo)等,国内暂无规模化生产厂商。单面磨片机方面,国外主要 厂商主要包括日本迪斯科(Disco)、日本光洋(Koyo)、日本冈本机械(Okamoto) 以及美国 Revasum 等,国内厂商主要为中电科电子装备有限公司。SEMICON China 2018 展会上,晶盛机电(300316.SZ)成功推出了 6-12 英寸半导体级的单晶硅滚圆 机、单晶硅截断机、双面研磨机、全自动硅片抛光机等新产品。

(5)刻蚀:年均 12 亿规模

为消除硅片表面的损伤及沾污,需利用硅片刻蚀机选择性去除硅片表面的物质, 属于湿法刻蚀工艺。经过一系列处理的硅片表面和边缘存在着损伤及沾污。为消除 硅片表面的损伤和沾污,需采用湿法化学刻蚀工艺选择性去除硅片表面的物质,通 常要腐蚀掉硅片表面约 20μm 的硅,以保证所有损伤都被去掉。进行硅片刻蚀工艺的 设备称为硅片刻蚀机。

硅片刻蚀机制造厂商较多,已实现部分国产替代。国外厂商主要包括日本创新 (JAC)、美国 MEI 及韩国 Global Zeus;国内厂商包括中电科 45 所、江苏华林科纳 及苏州晶淼等。

(6)抛光:年均 19 亿规模

硅片制备的最后一步需要进行 CMP 抛光以获得平坦光滑的表面,200mm 及以 下采取单面抛光,300mm 采取双面抛光,使用设备为 CMP 抛光机。硅片制备的最 后一步是化学机械抛光(CMP),目的是得到高平整度的光滑表面。对于 200mm 及 以下的硅片,采用传统的 CMP 方式,仅对上表面进行抛光,另一侧仍需保留刻蚀后 相对粗糙的表面以便于器件传送;对于 300mm 的硅片,需采用 CMP 进行双面抛光,抛光后的硅片表面平坦、双面平行,两面都会像镜子一样。进行化学机械抛光的设备 称为 CMP 抛光机,也广泛应用在后续的晶圆制造环节。

CMP 抛光机仍以国外为主,国内厂商正积极布局。国外厂商主要有日本 SPEEDFAM、日本不二越机械公司(FUJIKOSHI)、美国 PR HOFFMAN 以及德国 莱玛特·奥尔特斯,国内厂商如中电科 45 所、晶盛机电(300316.SZ)及赫瑞特等。 晶盛机电(300316.SZ)2018 年成功研发出 6-8 英寸全自动硅片抛光机,有望继续拓 展 12 英寸抛光设备。

(7)清洗:年均 12 亿规模

为达到超洁净状态需要对硅片进行清洗,目前广泛使用的工艺为湿法清洗,使 用湿法清洗设备进行。在将硅片发送给晶圆制造厂商之前,需要进行清洗以到达超 洁净状态。硅片清洗的目标是去除所有表面沾污:颗粒、有机物、金属和自然氧化层。 目前占统治地位的清洗方法是湿法化学,采用湿法清洗机进行。

硅片清洗机国产化正在进行中,国内已涌现一批优质企业。国外厂商主要包括 日本创新(JAC)、美国 Akrion、美国 MEI 以及韩国 Global Zeus,国内厂商如北方 华创(002371.SZ)、中电科 45 所等。

(8)检测:年均 19 亿规模

在包装硅片之前,需要检测是否已达到客户要求的质量标准,如物理尺寸、平整 度、为粗糙度、氧含量、晶体缺陷、颗粒以及体电阻率等,所涉及的检测装备包括厚 度仪、颗粒检测仪、透射电镜、硅片分选仪等。此处硅片检测将在下文中与“工艺检 测”、“晶圆中测”及“终测”合并介绍。

3.2、 晶圆制造设备:2020 年 831 亿元市场规模

晶圆制造过程主要包括扩散、光刻、刻蚀、离子注入、薄膜生长、化学机械抛 光、金属化七个相互独立的工艺流程,这些工艺流程都会有相对应的晶圆制造设备 来完成芯片制造流程。典型的集成电路制造需要花费 6-8 周时间,涵盖 350 道或者 更多的步骤来完成所有的制造工艺,虽然过程复杂,但所有步骤只是多次运用了有 限的几种工艺,如薄膜沉积、光刻、刻蚀、注入、抛光等。

晶圆制造设备主要包括光刻机、刻蚀机、薄膜设备、扩散/离子注入设备、清洗设备、CMP 抛光设备、过程检测七大类。通常晶圆制造厂可以分成 6 个独立的厂区: 扩散、光刻、刻蚀、薄膜、离子注入以及抛光。扩散区,一般认为是进行高温工艺及 薄膜沉积的区域,主要设备是高温扩散炉和湿法清洗设备;光刻区,主要设备是光刻 机以及涂胶/显影设备等用来配合完成光刻流程的一系列工具组合;刻蚀区,常见设 备包括等离子刻蚀机(部分仍采用湿法刻蚀机)、等离子去胶机和湿法清洗设备;离 子注入区,主要设备是亚 μm 工艺中最常见的掺杂工具离子注入机;薄膜区,主要负 责生产各个步骤或在那个介质层与金属层的沉积,所采用的温度低于扩散区中设备 的工作温度,核心设备包括 CVD 以及 PVD,可能用到的其他设备还包括 SOG 系统、 RTP 以及湿法清洗设备;抛光区,主要设备为 CMP 抛光机,辅助设备包括刷片机、 清洗装置以及测量工具。

以下对于工艺及设备的介绍并非完全按照芯片制造工艺的先后顺序进行,对于 制造流程中的重复工艺不再介绍。

(1)氧化:卧式炉/立式炉/RTP 等热处理设备 59 亿元市场规模

芯片制造的第一步工艺,是在扩散区将硅片进行高温氧化,在表面生长一层二 氧化硅薄膜。氧化在芯片制造工艺的发展过程中扮演了重要角色,实际上,硅片上氧 化物的生长主要有两种方式:1)热生长,发生在扩散区,是在升温环境中,通过外 部供给高纯氧使之与硅衬底反应,在硅片表面得到一层热生长的氧化层;2)沉积, 发生在薄膜区,是通过外部供给的氧气及硅源在腔体中反应,在硅片表面上沉积一 层薄膜。

200mm 及以上的热处理步骤中,卧室炉已基本被立式炉及快速热处理系统 (RTP)替代。热处理设备主要有三种:卧室炉、立式炉以及快速热处理系统(RTP)。 除这里涉及的氧化工艺外,这三种热处理设备还可应用于掺杂、退火等用途(后两者 将在掺杂工艺中详细介绍)。卧式炉是产业发展早期广泛应用的热处理设备,目前大 部分已被立式炉及 RTP 取代。卧式和立式炉是较为传统的热处理设备,工作中硅片 和炉壁被同时加热,硅片升温/降温速率小于 20°C/分,单批硅片处理数量在 100~200 片。RTP 是种小型的快速加热系统,工作中只对硅片进行加热,升温速率可达每秒 几十度甚至上百度,通常一次处理一片硅片。RTP 在芯片制造中最常见的用途是离 子注入后的退火,目前已扩展到氧化金属、硅化物的形成以及快速热 CVD 和外延生长等更宽泛的领域,与传统的扩散炉相比,RTP 通过缩短加热时间减少长时间高温 工艺带来的负面影响。

国内150mm以下扩散设备基本自给自足;300mm以上立式炉仍主要依赖进口, 仅有北方华创(002371.SZ)可批量供应;RTP 以进口为主。在尺寸小于 150mm 的 IC 制造领域,我国的扩散设备基本能实现自给自足,国内知名的设备厂商有北方华 创(002371.SZ)、中电科 48 所等。在 300mm 的 IC 制造领域,立式炉仍主要依赖 进口,国外厂商有东京电子(TEL)、日立国际(HKE)等,国内只有北方华创(002371.SZ) 能够批量供应。北方华创(002371.SZ)的氧化炉目前已供应给中芯国际(0981.HK)、 华力微电子、长江存储等厂商使用。在 RTP 设备方面,目前 IC 生产线上普遍采用美 国的应用材料、 Axcelis Technology、 Mattson Technology 和 ASM 的设备(约占 90% 的市场份额),国内发展相对滞后。

(2)光刻:光刻机 249 亿元市场规模

在集成电路制造工艺中,光刻是决定集成电路集成度的核心工序,在整个硅片 加工成本中占到 1/3。光刻的本质是把掩膜版上临时的电路结构复制到以后要进行刻 蚀和离子注入的硅片上。光刻工艺的原理:利用光刻机光源发出的光通过具有图形 的掩膜版,对涂有光刻胶的硅片上未被掩膜版遮盖的区域进行曝光,被照射部分的 光刻胶性质发生改变,可溶解(或不溶解)于显影液,通过显影后去除可溶解部分, 则掩膜版的图形被复制于硅片上。光刻工艺可划分为八个基本步骤:气相成底膜、旋 转涂胶、软烘、对准和曝光、曝光后烘焙(或有)、显影、坚膜烘焙以及显影检查。 转移到硅片表面的图形与光刻目的相关,可以是半导体器件、隔离槽、接触孔、连接 金属层的通孔以及金属互联线,这些图形转移到硅片上,为后续的刻蚀或离子注入 做准备。

光刻工序需要用到两种工艺设备,即匀胶显影设备和光刻机。先进的半导体工 艺通常将匀胶显影设备与光刻机直接对接,协同工作,通过光刻胶涂覆、光刻、显影 过程中严格的工艺时间控制,确保光刻后转印在衬底上的集成电路图形达到质量要 求。

光刻机是集成电路生产线中最昂贵、最复杂的核心设备。目前常用的光刻机主 要有两种,分步重复光刻机及步进扫描光刻机,后者更为多见。光刻机的发展历经 过五代:1)接触式光刻机:最早的光刻机,即掩膜贴在硅片上进行光刻,容易产生 污染、降低掩膜版使用寿命。2)接近式光刻机:对接触式光刻机进行改良,掩膜与 硅片不再直接接触,但受气垫影响,成像的精度不高。3)扫描式光刻机:利用基于 反射的光学系统将掩膜版图形 1:1 地投影到硅片表面,掩膜版与晶圆同步相对窄光 束移动,通过两者的扫描运动实现逐步曝光,最终将掩膜版上的图形全部复制到晶 圆上;局限是“1 倍”掩膜版需要与芯片有相同的特征尺寸,制造难度很大。4)分步 重复光刻机:基于折射光学系统(降低掩膜版制造难度),单次只曝光硅片上的一块 区域,然后步进到硅片上的另一位置重复曝光。5)步进扫描光刻机:结合了扫描式 光刻机与分步重复光刻机的技术,单场曝光采用动态扫描方式,即掩膜版与晶圆相 对窄光束同步完成扫描运动;完成当前曝光后,晶圆由工作台承载步进至下一步扫 描场位置,继续进行重复曝光;重复步进并扫描曝光多次,直至整个晶圆所有场曝光 完毕。目前,接触式光刻机与接近式光刻机应用很少。对于接触式光刻机,由于其简 单、经济性,且可实现亚微米级特征尺寸图形的曝光,因为仍应用于小批量产品制造 和实验室研究;对于接近式光刻机,仍然可用于特征尺寸在 3μm 以上的集成电路中。 分布重复光刻机与步进扫描光刻机较为常用,后者为当前主流光刻机。分布重复光 刻机主要应用于 0.25μm 以上工艺(当前 IC 制造的非关键工艺),以及先进封装领 域及其他新应用领域。IC 工艺进入 0.25μm 后,步进扫描光刻机由于其在扫描场尺寸 及曝光均匀性上的优势,在 0.25μm 以下的深紫外光刻中占据主导地位。

通过配置不同波长的光源(如 i 线、KrF、ArF、EUV),步进扫描光刻机可支 撑半导体前道工艺所有的技术节点。ArF 光源光刻机是目前使用范围最广、最具有 代表性的一代光刻机。减小紫外光源波长,是提高光刻技术分辨能力的重要手段,随 着光源波长的降低,光刻机有望获得更高的成像分辨率。ArF 干法曝光最大可支撑 65nm 的成像分辨率。对于 45nm 以下及更高的成像分辨率的要求,ArF 干法曝光已 无法满足,故引入了浸没式光刻方法。浸没式光刻通过将投影物镜下表面及硅片上 表面间充满液体(通常为 1.44 折射率的超纯水)以提升成像体统的有效数值孔径, 使光刻机的分辨能力得到延伸。在此基础上,结合多重图形和计算光刻技术,ArFi 光 刻机得以在 22nm 及以下工艺节点应用,并可支撑 7nm 节点工艺,在 EUV 光刻机量 产前得到了广泛的应用。

EUV 光刻机被普遍认为是 7nm 以下工艺节点最佳选择,需求持续攀升。相对于 ArFi 光刻机,EUV 光刻机的单次曝光分辨率大幅提升,可有效避免因多次光刻、刻 蚀方能获得高分辨率的复杂工艺,从工艺技术和制造成本综合因素考量,EUV 设备 被普遍认为是 7nm 以下工艺节点的最佳选择。同时,5nm 及以下工艺必须依靠 EUV 光刻机才能实现。随着半导体制造工艺向 7nm 以下持续延伸,EUV 光刻机的需求将 进一步增加。

除上述有掩膜光刻机外,还有一类光刻机在工作中无需使用掩膜版,即无掩膜光 刻机,又称直写光刻机。无掩膜光刻机可柔性制作集成电路,但生产效率低,一般只 适用于器件原型的研制验证、掩膜版以及小批量特定芯片的制作,在光刻机中所占 比例较低。

匀胶显影设备是指光刻工艺过程中与光刻机配套使用的匀胶、显影及烘烤设备。 在早期的集成电路工艺和较低端的半导体工艺中,匀胶显影设备往往单独使用;随 着自动化程度提高,在 200mm 及以上的大型生产线上,匀胶显影设备一般都与光刻 机联机作业完成精细的光刻工艺流程。匀胶显影设备主要由匀胶、显影、烘烤三大系 统组成,通过机械手使硅片在各系统之间传递和处理,完成光刻胶涂覆、固化、光刻、 显影以及坚膜等工艺流程。

光刻机厂商集中度高,ASML 地位不可撼动;国内技术水平差距巨大,SMEE 目前可量产 90nm 工艺节点光刻机。全球最大的光刻机厂商为荷兰的 ASML,市占 率超过 80%,在 EUV 领域处于完全垄断的地位。 除 ASML 以外,日本佳能(CANON)、 尼康(NIKON)也是国外知名的光刻机生产商。ASML 可以覆盖所有档次光刻机产 品,尼康、佳能的产品分别仅停留在了 28nm 和 90nm 的节点上。国内集成电路产业 起步较晚,在光刻机制造领域与国际差距巨大。根据电子工程世界资料,近年来上海 微电子装备(集团)股份有限公司(SMEE)通过积极研发,已实现 90nm 节点光刻机 的量产,并正在研究适用于 65nm 节点的设备。SMEE 主要有两个系列的产品:1) 600 系列步进扫描光刻机,可满足 IC 前道制造 90nm、110nm、280nm 关键层和非关 键层的光刻工艺需求,用于 8 寸线或 12 寸线的大规模工业生产;2)500 系列步进光 刻机,可满足 IC 后道先进封装的光刻工艺,如晶圆级封装(Fan-In/Fan-Out WLP) 的重新布线(RDL),倒装(FC)工艺中常用的金凸块(Gold Bump)、焊料凸块(Solder Bump)、铜柱(Copper)等,也可通过选择背面对准满足 MEMS 和 2.5D/3D 封装的 TSV 光刻工艺需求。

匀胶显影设备方面,日本东京电子占据高端市场主要份额;国内芯源微 (688037.SH)逐渐具备了国产替代能力。匀胶显影设备的国外厂商主要有日本的东 京电子(TEL)、DNS,以及德国的苏斯等,其中 TEL 在高端产品领域占据主要的 市场份额。芯源微(688037.SH)在国内的高端封装、LED 制造等领域占有主要的市 场份额,在前道高端设备方面也取得了突破性进展,产品技术参数与性能已达国际 先进水平,逐步具备了进口替代的能力。

(3)刻蚀:刻蚀机 100 亿元市场规模

刻蚀是用化学或者物理方法,有选择地从硅片表面去除不需要材料的过程,通 常在显影检查后进行,目的是在涂胶的硅片上正确复制掩膜图形。光刻胶层在刻蚀 工艺中不受显著侵蚀,被光刻胶覆盖的部分因受到保护而未被刻蚀,没有覆盖的部 分将被刻蚀掉。刻蚀可以看做在硅片上复制所需图形最后的转移工艺步骤。

在半导体制造中有两种基本的刻蚀工艺,干法刻蚀和湿法刻蚀,其中干法刻蚀 是亚微米尺寸下刻蚀器件最主要的方法。干法刻蚀也称等离子体刻蚀,是指使用气 态的化学刻蚀剂与硅片上未被光刻胶覆盖的材料发生物理或化学反应(或两者均有), 以去除暴露的表面材料的过程。通常,反应生成物具有可挥发性,可被抽离出反应 腔。湿法刻蚀,是指采用液体化学试剂(酸、碱和溶剂等)以化学方式去除硅片表面 材料的过程。早期的刻蚀工艺多采用湿法刻蚀,但因其在线宽控制和刻蚀方向性等 多方面的局限,3μm 之后的工艺大多采用干法刻蚀,湿法刻蚀仅用来腐蚀硅片上的 某些层或残留物的清洗(湿法刻蚀在下文清洗设备部分阐述)。干法刻蚀系统中,刻 蚀作用是通过化学作用或物理作用,或者共同作用来实现的,其中物理和化学混合 作用能使刻蚀获得好的线宽控制和较好的选择比,因而在大多数干法刻蚀工艺中被 采用。

根据被刻蚀材料的种类,刻蚀设备可分为硅刻蚀设备、金属刻蚀设备和介质刻 蚀设备三大类。以等离子体产生和控制技术进行区分,电容耦合等离子体刻蚀设备 (CCP)和电感耦合等离子体刻蚀设备(ICP)是各类等离子体刻蚀设备中应用最广 泛的两类设备。硅刻蚀用于去除硅的场合,如刻蚀多晶硅栅及硅槽电容;金属刻蚀主 要是在金属层上去除合金复合层,制作出互联线;介质刻蚀用于介质材料的刻蚀,如 制作接触孔或通孔结构时 SiO2 的刻蚀。传统的硅刻蚀及金属刻蚀偏向于使用离子能 量较低的设备,如 ICP 刻蚀设备;介质刻蚀偏向于使用离子能量较高的设备,如电 容耦合等离子体刻蚀设备 CCP 刻蚀设备。刻蚀设备种类很多,除上述 CCP 与 ICP 刻 蚀设备外,还有离子束刻蚀设备(IBE)、等离子刻蚀设备(PE)、反应离子刻蚀设 备(RIE)、原子层刻蚀设备(ALE)、电子回旋共振等离子体刻蚀设备(ECR)、 螺旋波等离子体刻蚀设备(HWP)以及表面波等离子体刻蚀设备(SWP)等。

刻蚀设备也是集成电路制造工艺中最复杂、难度最大且使用比例最高的设备之 一。随着芯片集成度不断提高,生产工艺越发复杂,刻蚀在整个生产流程中所占的比 重也呈现上升趋势。

等离子体刻蚀机已开始进口替代,国内中微公司(688012.SH)、北方华创(002371.SZ)分别在介质刻蚀、硅刻蚀/金属刻蚀领域脱颖而出。美国和日本在刻蚀 设备制造领域处于领先地位,代表厂商包括美国的泛林(Lam Research)和应用材料 (Applied Materials),日本的东京电子(TEL)和日立(Hitachi)。近十年,中国刻 蚀机制造的进步显著,已具备初步国产替代能力。根据电子工程网讯息,中微公司 (688012.SH)自主开发的介质刻蚀设备已被国内外芯片制造大厂引进先进生产线中 进行大规模量产,5nm 等离子体刻蚀机经已获台积电认可,将用于全球首条 5nm 制 程生产线。北方华创(002371.SZ)的硅刻蚀机已进入中芯国际等多条生产线的先进 工艺中进行大规模生产,并在 14nm 核心工艺技术上取得了重大进展,金属刻蚀机 已批量应用于 8 英寸集成电路生产线。

(4)沉积:PVD100 亿元市场规模,CVD166 亿元市场规模

在本节第一部分已经提过,集成电路制造工艺中氧化膜的生成主要有氧化及沉 积两种方式,其中沉积是各类薄膜形成的最主要的方式,包含绝缘薄膜(如 SiO2)、 半导体薄膜(如多晶硅)或者导电薄膜(如金属),这些薄膜有的作为器件结构中一 个完整的部分,另一些则充当了工艺过程中的牺牲层在后续的工艺中被去掉。薄膜 沉积设备是一种集合了多种学科最先进技术的设备,也是各种芯片生产设备中比较 复杂、难度较大且使用率较高的设备。

集成电路薄膜沉积工艺可分为物理气相沉积(PVD)、化学气相沉积(CVD) 和外延三大类。PVD 是指通过热蒸发或者靶表面受到粒子轰击时发生原子溅射等物 理过程,实现上述物质原子转移至硅片表面并形成薄膜的技术,多应用于金属的沉 积;CVD 是指通过气体混合的化学反应在硅片表面沉积薄膜的工艺,可应用于绝缘 薄膜、多晶硅以及金属膜层的沉积;外延是一种在硅片表面按照衬底晶向生长单晶 薄膜的工艺。

磁控溅射是PVD中使用最广泛的设备,离子PVD在制作具有高深宽比的通孔、 狭窄沟道的工艺中占据了主导地位。PVD 可分为真空蒸镀和溅射两种类型。1)真空 蒸镀。普通灯丝蒸镀工艺简单、容易操作,但难以满足蒸发某些难容金属和氧化物材 料,于是发展了电子束蒸镀;电子束加热蒸镀可以获得极高的能量密度,可蒸镀 W、 Mo、Ge、SiO2、Al2O3 等材料。

磁控溅射是PVD中使用最广泛的设备,离子PVD在制作具有高深宽比的通孔、 狭窄沟道的工艺中占据了主导地位。PVD 可分为真空蒸镀和溅射两种类型。1)真空 蒸镀。普通灯丝蒸镀工艺简单、容易操作,但难以满足蒸发某些难容金属和氧化物材 料,于是发展了电子束蒸镀;电子束加热蒸镀可以获得极高的能量密度,可蒸镀 W、 Mo、Ge、SiO2、Al2O3 等材料。

常用 CVD 设备包括 APCVD、LPCVD、PECVD、HDPCVD 以及 FCVD 等, 适用于不同工艺节点对膜质量、厚度以及孔隙沟槽填充能力等的不同要求。常压化 学气相沉积(APCVD)是最早的 CVD 设备,结构简单、沉积速率高,至今仍广泛应 用于工业生产中。低压化学气相沉积(LPCVD)是在 APCAD 的基础上发展起来的, 由于其工作压力大大降低,薄膜的均匀性和沟槽覆盖填充能力有所改善,相比 APCVD 的应用更为广泛。在 IC 制造技术从亚微米发展到 90nm 的过程中,等离子 体增强化学气相沉积设备(PECVD)扮演了重要的角色,由于等离子体的作用,化 学反应温度明显降低,薄膜纯度得到提高,密度得以加强。到 90nm 技术时代,为改 善 PECVD 薄膜的致密性、沟槽填充能力以及生长速率,引入了高密度等离子体增强 化学气相沉积(HDPCVD)设备。随着集成电路技术发展到 28nm 以下,HDPCVD 已 无法满足 FinFET 器件结构对隔离沟槽填充技术的要求,流体化学气相沉积技术 (FCVD)应运而生,其可完成对细小沟槽及孔隙的无缝填充,并满足 10nm 以及 7nm 技术节点的工艺要求。CVD 不仅可以用于绝缘薄膜和半导体材料的沉积,还可用于 金属薄膜的沉积,由于 CVD 具有优良的等角台阶覆盖以及对高深宽比接触和通孔无 间隙的填充,在金属薄膜沉积方面的应用正在增加。

ALD 设备沉积的薄膜具有非常精确的膜厚控制和非常优越的台阶覆盖率,随着 器件集成技术的提升,应用愈加广泛。从 45nm 技术开始,为了减小器件的泄漏电 流,新的高 k 材料和金属栅工艺被应用到集成电路工艺中,由于膜层很薄(通常在 数纳米量级内),所以引入了原子层沉积(ALD)。ALD 在每个周期中生长的薄膜 厚度是一定的,所以可以有非常精确的膜厚控制和非常优越的台阶覆盖率。随着 IC 集成技术的发展,不断缩小的器件尺寸对薄膜生长的热预算、致密度及台阶覆盖率 都有了更高的要求,未来 ALD 技术在薄膜生长领域会有更多的应用。

在某些情况下,需要在单晶衬底表面外延生长一薄层单晶材料,这层外延层与衬 底具有相同晶体结构,可根据器件要求实现对杂质类型和浓度的控制,为设计者在 优化器件性能方面提供了很大的灵活性。外延有时也能辅助达到高性能 IC 的要求。 外延可分为分子束外延(MBE)、气相外延(VPE)、液相外延(LPE)以及固相外 延(SPE),其中后三者属于化学外延技术,可归为广义的 CVD 技术。

薄膜沉积设备也已开启进口替代,北方华创是国内 PVD/CVD 设备的领军企业。 美国、欧洲和日本在薄膜沉积设备领域处于领先地位,主要厂商包括美国的应用材 料( Applied Materials)、泛林( Lam Research),荷兰的先进半导体材料(ASM),日本的 东京电子(TEL)等。国内在薄膜沉积领域已有长足进步,北方华创(002371.SZ)自主 开发的系列 PVD 设备已经用于 28m 生产线中,用于 14m 工艺的 PVD 设备实现重大 进展;沈阳拓荆和北方华创(002371.SZ)的 PECVD 设备也在芯片及 MEMS 生产线 上得到应用。

(5)离子注入:离子注入机 33 亿元市场规模

实现掺杂的方式包括扩散及离子注入,后者现代 IC 制造中掺杂的主要工艺。离 子注入后需要进行退火处理以修复缺陷并激活杂质。本征硅(晶格完整且不含杂质 的硅单晶)的导电性能很差,只有加入少量杂质(主要掺杂ⅢA 族和ⅤA 族的杂质), 使其结构和导电率发生改变时,才能成为一种有用的半导体,这个过程被称为掺杂。 在 IC 制造工艺中,有两种方法可以向硅片引入杂质元素,即热扩散和离子注入。热 扩散利用高温驱动杂质穿过硅的晶格结构,离子注入是通过高压离子轰击将杂质引 入硅片。相对于扩散,离子注入的主要优点在于能在较低的温度下,准确地控制杂质 掺入的浓度和深度,重复性好。早期热扩散是掺杂的主要手段,随着特征尺寸及相应 器件的不断缩小,现代 IC 制造中大多掺杂工艺都是利用离子注入实现的。由于离子 注入采用高速轰击的工作方式,会将注入区原子撞出晶格而形成局部损伤区,且被 注入离子大多并不占据硅的晶格点,而是停留在晶格间隙位置,因此需要进行退火 处理以部分或全部消除因离子注入产生的损伤以及激活被注入的离子。离子注入广泛应用于 IC 制造,包括 MOS 栅阈值调整、倒掺杂阱、源漏注入、超浅结、轻掺杂 漏区、多晶硅栅、深埋层、穿通阻挡层、沟槽电容器和 SIMOX 等。

热处理设备主要包括卧式炉、立式炉以及快速热处理设备(RTP),应用于不同 要求的掺杂和退火工艺。对于 200mm 以下的掺杂和退火,主要使用卧式炉;对于 200mm 及以上的掺杂,立式炉有部分应用,而市场主要由离子注入设备所主导;对 于 200mm 及以上的退火,主要使用立式炉及快速热处理设备(RTP/RTA)。RTP 是 一种单片热处理设备,能够快速升/降温,在快速热退火(RTA)中应用最为普遍,同 时也开始应用于快速热氧化(RTO)、快速热氮化(RTN)、快速热扩散(RTD)、 快速热化学气相沉积(RTCVD)等领域,在先进 IC 制造领域的应用越来越广泛。

离子注入机是现代集成电路制造工艺中最主要的掺杂设备,其中大束流离子注 入机市占率最高。离子注入机是集成电路装备中较为复杂的设备之一,是现代 IC 制 造工艺中最主要的掺杂设备。离子注入机大体可分为低能大束流离子注入机、中束 流离子注入机和高束流离子注入机三类。中束流离子注入机可应用于半导体制造中 沟道掺杂、阱掺杂和漏/源掺杂等多种工艺。相比中束流设备,大束流离子注入机具 有较高的束流和较低的能量,适用于大剂量浅结注入,如源/漏扩展区注入、源/漏注 入、栅极掺杂等工艺,是目前半导体制造领域中市占率最高的离子注入机。高能离子 注入机用于注入掩埋杂质层,如倒掺杂阱和三阱,在某些领域中可以替代中束流离 子注入机。

离子注入机多数依赖进口,电科装备崭露头角。国内生产线上使用的离子注入 机多数依赖进口。国外主要厂商有美国SPIRE和ISM Tech.,英国AEA Industrial Tech.、 Tec Vac 和 Tech-Ni-Plant,法国 Nitruvid 和 IBS,西班牙的 INASMET 和 AIN,德国 MAT,丹麦 DTI Tribology Centre 等;国内厂商方面,中电科电子装备有限公司、中 电科 48 所、上海凯世通也能提供少量产品。电科装备在国内厂商中拥有绝对优势, 形成中束流、低能大束流和高能离子注入机等系列产品,根据《经济日报》2018 年 8 月报道,公司多台 12 英寸离子注入机已进入中芯国际生产线,工艺覆盖至 28nm, 累计产量约 300 万片。

热处理设备主要厂商已在前文“氧化”工艺中介绍,这里不再赘述。

(6)抛光:CMP 抛光机 25 亿元市场规模

化学机械抛光(CMP)能获得金属和介质层的局部或全局平坦化,广泛应用于 极大规模 IC 制造中。无应力抛光(SFP)不会产生任何机械应力,尤其适用于低 k/ 超低 k 介质铜互连结构的平坦化过程。CMP 结合了化学作用与机械作用,使硅片表 面材料与研磨液发生化学反应的同时,在研磨头的压力作用下进行抛光,最终使硅 片表面实现平坦化。CMP 设备集成了机械学、流体力学、材料化学、精细化工、控 制软件等多领城最先进的技术,是 IC 制造设备中较为复杂和研制难度较大的设备之 一。CMP 设备在 IC 制造中的应用包括浅槽隔离平坦化、多晶硅平坦化、层间截至平 坦化、金属间介质平坦化以及铜互连平坦化等。SFP 基于电化学原理,在抛光过程中 硅片仅与抛光液接触,是一种不会产生任何机械应力的抛光工艺。SFP 能够很好地解 决低 k/超低 k 介质铜互连结构平坦化过程中因机械应力造成的损伤问题,从而避免 互连结构断路或短路。

CMP 设备领域被国际厂商高度垄断,华海清科及中电科 45 所设备在主流晶圆 制造厂中处于试用及验证阶段。目前,美国和日本在 CMP 设备制造领域处于领先地 位,生产厂商主要包括美国的应用材料(Applied Materials)和日本的荏原机械(Ebara), 两家企业占据全球 98%的市场份额,呈现高度垄断的竞争格局。根据赛迪顾问资料, 国内 CMP 设备的主要研发生产单位有天津华海清科和中电科 45 所,其中华海清科 的设备已在中芯国际生产线上试用,中电科 45 所 8 英寸设备已进入中芯国际生产线 进行工艺验证,12 英寸设备也在研发当中。

(7)清洗机及湿法刻蚀设备等剥离设备:33 亿元市场规模

湿法清洗设备可以去除 IC 制造过程中所产生的颗粒、自然氧化层、有机物、金 属污染、牺牲层以及抛光残留物等杂质。目前湿法清洗的主流设备包括单圆片清洗 设备、单圆片刷洗设备以及单圆片刻蚀设备(湿法刻蚀设备)。湿法清洗在硅片表面 清洗方法中占统治地位。湿法清洗是指针对不同的工艺需求,采用特定的化学试剂 和去离子水,对硅片表面进行无损清洗,去除 IC 制造过程中颗粒、自然氧化层、有 机物、金属污染、牺牲层以及抛光残留物等物质,可配合使用液体快速循环流动、兆 声波和氮气辅助喷射等物理方式提升清洗效果。先进的 IC 制造技术对硅片表面污染 物控制的指标要求越来越高,因此在每项工艺前都需要进行清洗。集成电路诞生以来,主要由槽式清洗机和槽式刻蚀机来完成硅片的清洗及薄膜刻蚀工艺,随着集成 电路线宽的缩小,对清洗要求越来越严格,上述两种清洗设备已逐渐被单圆片湿法 设备所取代。目前,槽式圆片清洗机、槽式清洗刻蚀机在整个清洗流程中分别仅占 20%及 2%的步骤。根据不同的工艺目的,单圆片湿法设备可以分为三大类:1)单圆 片清洗设备,清洗目标物包括颗粒、有机物、自然氧化层、金属杂质等污染物;2) 单圆片刷洗设备,主要用于去除圆片表面颗粒; 3)单圆片刻蚀设备(湿法刻蚀设备), 主要用于去除薄膜。单圆片清洗设备广泛应用于 IC 制造的前道和后道工艺过程,包 括成膜前/后的清洗、等离子体刻蚀后清洗、离子注入后清洗、化学机械抛光后清洗 和金属沉积后清洗等,已基本可以兼容所有的清洗工艺(除高温磷酸工艺)。单圆片 刻蚀设备一般用于去除硅、氧化硅、氮化硅及金属膜层等薄膜材料。此外,随着 IC 制造工艺的进步,单槽体圆片清洗机、低温超临界圆片清洗机等多种清洗机也陆续 获得一些应用。

国内清洗设备“三剑客”承担国产化重任,并已批量替代进口。高端清洗机市场 仍以国外为主,日本的迪恩士(DNS)、东京电子和美国的泛林(Lam Research)三 家企业占据了单圆片湿法设备 70%以上的市场份额。国内清洗设备“三剑客”分别为 北方华创(002371.SZ)、盛美半导体以及至纯科技(603690.SH),其生产的清洗机 已经大批量替代进口,其中盛美半导体是国内唯一进入 14nm 产线验证的清洗设备 厂商,技术上已具备国际竞争力。

(8)检测:58 亿元市场规模

此处晶圆中测将在下文中与“工艺检测”、“硅片检测”及“终测”合并介绍。

3.3、 封装设备:2020 年 63 亿元市场规模

广义封装可分为四级,其中 0 级和 1 级为电子封装,是狭义封装的工艺范畴。 狭义的封装是指安装芯片外壳的过程;广义的封装应包括将制备合格的芯片、元件 等装配到载体上,采用适当的连接技术形成电气连接,安装外壳,构成有效组件的整 个过程。封装的功能通常包括五个方面,电源分配、信号分配、散热通道、机械支撑 以及环境保护。按广义封装的定义,芯片的封装一般可以分为四级:1)0 级封装, 即芯片级封装。通常芯片级封装的连接方式有引线键合(WB)、载带自动键合(TAB) 和倒装焊(FCB)三种。2)1 级封装,即元件级封装。1 级封装就是针对 IC 的封装, 是将一个或多个 IC 芯片用适当的材料封装起来,这些材料可以是塑料、金属和陶瓷 等,或者是他们的组合。3)2 级封装,即卡板级封装。2 级封装就是将 IC、电阻、 电容、插件及其他元件安装在 PCB 上的过程。4)3 级封装,即整机及封装。3 级封 装就是将以上各类 PCB(板或卡)总装成整机的过程。通常将 0 级和 1 级称为电子 封装,将 2 级和 3 级称为电子组装。下文中的所指的封装技术及工艺为电子封装。

按技术发展,芯片封装可分为传统封装和先进封装,其中传统封装仍是中国市 场的主体。传统封装与先进封装的区分界限有多种说法,本文中按是否先将晶圆切 割成单个芯片再进行封装为依据进行划分,则 传统封装通常包含单列直插封装(SIP)、 双列直插封装(DIP)、小外形封装(SOP)、晶体管外形封装(TO)、四面无引线 扁平封装(QFN)、DFN 以及球栅阵列封装(BGA)等;先进封装包括倒装(FC) 芯片的封装、圆片级封装(WLP)、2.5D 封装以及 3D 封装等。当前中国封装领域 的产业规模已经形成了全球竞争力,但在高密度集成等先进封装方面与国际先进水 平仍有一定差距,在我国 IC 封装市场中,DIP、QFP、QFN/DFN 等传统封装仍是主 体,占据约 70%的市场份额。

不同封装形式又有不同的封装工艺。

……

封装设备市场整体呈现寡头垄断格局,国内市场在传统封装装备领域自给率低, 在先进封装用光刻机、刻蚀机等设备领域国产化率较高。各类封装设备市场呈寡头垄断格局,如日本 Disco 垄断了全球 80%以上的封装关键设备减薄机和划片机的市 场。根据电科装备资料,我国传统封装设备国产化率整体上却不超过 10%,但先进 封装设备的国产化率逐步提高,封装用光刻机、刻蚀机、植球机等整体超过 50%。

3.4、 测试设备:2020 年工艺/CP/FT 合计 158 亿元市场规模

半导体检测贯穿整个制造过程,检测设备主要分为工艺检测(在线参数测试) 设备、晶圆检测(CP 测试)设备和终测(FT 测试)设备三类。半导体检测贯穿整 个制造过程,从最初的设计到最终的产品都需要有严格的检测要求。因此,半导体检 测设备是芯片质量的重要保证,也是提高芯片制造水平和进行成品率管理的关键。 半导体检测设备主要用于检测半导体产品在生产过程中和产成后的各类性能是否达 到设计要求。广义上来讲,半导体检测设备包括工艺检测设备、晶圆检测设备和终测 设备三类。

工艺检测设备用于工艺过程中的测量及缺陷检查,在前段晶圆制造工艺及后段 封装工艺中均有应用,在先进的前段生产线中作用越来越重要。工艺检测设备是应 用于工艺过程中测量类设备和缺陷检查类设备的统称。在芯片制造过程中,几乎每 个工艺环节如氧化、光刻、刻蚀、离子注入等都会由于技术误差或外在环境的污染而 产生缺陷,导致芯片的最终失效。工艺检测设备可对每道工艺后的晶圆进行无损的 检查和测量,以保证关键工艺参数满足工艺指标的要求,从而保证芯片的成品率以 及芯片最终出货的稳定性和可预期性。IC 制造工艺流程中,在线使用的工艺检测设 备种类繁多,应用于前段芯片制造工艺的主要检测设备可分为四类:1)对晶圆表面 缺陷、颗粒和残留异物的检查及分类; 2)对 薄膜材料的厚度和物理常数(如折射率、 消光系数、应力)等参数的测量;3)对光刻、刻蚀、CMP 等工艺流程后的关键尺寸 以及形貌结构等参数的测量;4)对套刻对准的偏差的测量。在后段封装工艺中,芯 片倒装(FC)、圆片级封装(WLP)和硅通孔(TSV)等先进工艺阶段要求对凸点、 通孔、铜柱等的缺损/异物残留(包括形状、间距、高度的一致性)以及再布线层进 行无接触的检查和测量。

……

晶圆检测设备包括硅片测试设备和晶圆中测设备。硅片测试设备主要包括厚度 仪、颗粒检测仪、硅片分选仪等;晶圆中测设备主要包括探针卡、探针台和测试机 等。晶圆检测设备可以分为硅片测试设备和晶圆中测设备两部分。在硅片制备完成 后,供应商必须通过一些检测手段来控制硅片质量,以达到质量参数要求,如果将有 缺陷的硅片交给芯片制造厂将会导致“灾难性”的结果。这些检测内容主要包括硅片 的物理尺寸、平整度、微粗糙度、氧含量、晶体缺陷、颗粒杂质、电阻率等,主要的 检测设备包括厚度仪、颗粒检测仪、硅片分选仪等。晶圆中测主要是指在晶圆制造完 成后进行封装前,对晶圆上的芯片进行功能和电参数性能测试,主要设备包括探针卡、探针台和测试机。

终测是对封装后芯片功能和电性能的测试,主要设备为分选机和测试机。终测 是为了保证出厂每颗芯片的功能、性能指标均能达到设计规范要求。终测主要用到 的设备为分选机和测试机。分选机将芯片传送至测试点,连接测试机的功能模块,测 试机通过对芯片施加输入信号、采集输出信号判断芯片在不同工作条件下功能和性 能的有效性,并将测试结果通过通信接口输送给分选机,分选机据此对被测芯片进 行标记、分选等。

工艺检测设备以国外为主,测试机领域华峰测控(688200.SH)及长川科技 (300604.SZ)已初具规模。在工艺检测、晶圆检测及终测中,国内企业主要涉足在 后两者。在工艺检测领域,国外厂商 KLA-TENCOR、应用材料、日立占据 70%以上 的市场份额。在测试机(ATE)领域,国内市场也主要被国外企业瓜分,泰瑞达、爱 德万测试、Cohu 占据 90%以上的份额,国内厂商华峰测控(688200.SH)、长川科 技(300604.SZ)经过近几年的迅速发展已初具规模,实现了部分进口替代。

4、 产业链细分龙头将受益于国产化趋势(参见报告原文)

4.1、 北方华创(002371.SZ):泛半导体设备龙头企业

4.2、 中微公司(688012.SH):半导体设备领域的后起之秀

4.3、 晶盛机电(300316.SZ):高端晶体设备行业龙头 受益光伏稳健增长

……

(报告来源:开源证券)

获取报告请登陆未来智库。

立即登录请点击:「链接」

标签: #转移几mol电子