龙空技术网

阿昆聊设计的CPLD开发板PCB,你觉得可以吗

阿昆谈DFM 129

前言:

现在看官们对“cpld编程语言”都比较着重,各位老铁们都需要分析一些“cpld编程语言”的相关知识。那么小编同时在网络上搜集了一些对于“cpld编程语言””的相关文章,希望你们能喜欢,你们一起来了解一下吧!

*需要CPLD开发板的同学看过来哦!

这事要说到2013年了,虽然阿昆并不是一个产品开发人员,但一直有一个能自己做一个产品的心,在做PCB工艺期间,也对公司的一些产品的PCB进行了优化,以提升PCB的可制造问题与SMT贴片插件问题,从中也获得了一些成就感,毕竟亲手提高了质量,降低了成本,但毕竟是在别人PCB基础上修补东西,感觉不过瘾。

  但内心深处一直有做一个完整PCB设计项目,做产品的情结,想从原理图设计到最后完成SMT贴片,当时就在想做一个什么东西呢,想来想去,最后做一块CPLD的开发板吧!

我想在这个过程中熟悉AD画原理图,AD画PCB、BOM单制作 、物料采购、及自己去SMT厂贴片到最后成品调试一系列过程。同时在这个过程中PCB设计,物料选用都要注意DFM问题,而且力求要达到比原版PCB优化的更好,更合理,更方使用,这才是我的最终目的。

因为只是做硬件,并不涉及软件,那我就找一块电路板来抄吧,于是从某宝花180元淘了一块开发板回来。拿到板后,我出于DFM审核习惯,总感觉 上面有好多东西要优化处理,这样才能更省成本

某宝买的总感觉上面好多问题要改

1、电源座用的是DC座和方口USB,说实话,现在是普通B型USB不是应该更方便吗,,为什么要用很少用的这种方形口,而DC座呢,还要找个220V适配器,也太不方便了吧(开发板中遇到大电流要求除外,但对于初学者机率太低了),这里要改成USB。(其实更方便的话应该改成MIRCO USB)

2、轻触开关及数码开关边上的3个直插排阻。阿昆以前写过一个关于,电阻省成本的文章,直插排阻这货,又贵,还容易插反,不能满足自动化生产要求,改成单个贴片电阻不香吗,又省成本,又提高效率

3、JTAG下载口直接使用排针代替这个牛角座更省成本

4、1117-3.3V芯片怎么配2个这么大的钽电容,不要钱吗。

5、元件布局怎么总感觉哪不美观。

不说了,开始行动吧

先使用AD软件完成原理图的绘制,以前都是用protel99se,这次用AD完整的绘制一个原理图

元件不多

完成PCB设计

未铺铜

铺铜后PCB版图

效果图

发出嘉立创做个20块,然后联系厂商贴片,来展示下各部分细节

和原板比起来,是不是感觉 清爽了很多!总算完成了一个小心愿。

----------------------------------------------------------------------------开发板还有15块,有需要的朋友可以私聊,成本价出了,59元一个(有电源线,无下载线)下载线自己购买只要25元左右。----------------------------------------------------------------------------为方便大家了解给大家介绍一下该开发板的功能

CK-EPM240学习开发套件是基于EPM240T100C5N的FPGA/CPLD学习开发平台。提供了丰富的硬件资源和大量的实验例程,并详细介绍了如何在本实验平台上完成各个实验过程,实现对板上资源的利用,从而使用户对数字系统的开发流程熟练掌握。用户无需再购买任何开发工具,只要有一台计算机,就可以学习开发FPGA/CPLD了;同时引出EPM240的所有I/O口可全部用于扩展,方便用户开发自己的产品,最大限度的为用户节约成本和加快学习开发速度。

主芯片采用ALTERA MAXII系列的EPM240T100C5N(相当于8650门CPLD,容量是以前的EPM7128的两倍,并且可以烧写至少10万次以上)。

在所有CPLD系列中单位I/O成本最低,功耗最低。

MAX II运用了低功耗的工艺技术,和前一代MAX器件相比,成本降低了一半,功率降至十分之一,容量增加了四倍,性能增加了两倍。

逻辑单元(LE):240个

典型等效宏单元:192个

最大用户IO脚:80个

速度等级:5ns

注:CPLD和FPGA可以看成一类器件(CPLD适合做组合逻辑电路,FPGA适合时序电路),编程语言,操作方法、软件一样,但CPLD成本更低,更适合初学者学习硬件编程言,所以初学者不要纠结用哪一个,其实学习方法都是一样,选择简单的,成本低的才最合适。

相反,价格高,功能复杂的反而不是适合初学,太复杂,容易让初学产生恐惧

有喜欢正在学FPGA CPLD同学,或已经工作需要做项目要用的人可以联系哦,成本价转让。

标签: #cpld编程语言 #cpld入门教程