龙空技术网

关于FPGA状态机 一段式 二段式 三段式(网上资料搜集)

有AI野心的电工和码农 67

前言:

目前姐妹们对“三段式状态机”大体比较关心,咱们都想要分析一些“三段式状态机”的相关文章。那么小编同时在网摘上收集了一些有关“三段式状态机””的相关内容,希望兄弟们能喜欢,看官们一起来学习一下吧!

11年初学FPGA时网上搜集的文章集合, 为了解决三段式状态机的疑问. 现如今也很用, 毕竟状态机应该是不会被淘汰的技术思想.

0. 序言

对于自认很有软件编程经验的我,初识状态机,觉得没什么大不了的,实现起来没什么难度,

初学FPGA时学的是verilog, 看夏宇闻的书上状态机的例子使用的一段式,当然他没有说明这种写法是一段式,当时觉得挺简单明了.

后来用VHDL, 看的一本E文的书上, 状态机的例子是典型的二段式(作者也没说明这是两段式),当时还觉得这种写法挺麻烦的,没有一段式的看起来舒服, 当时还没有切身的体会两种的区别以及一段式的劣处.

后来在一段式状态机上吃了亏, 才想到去重新思考和认识状态机,才知道了一段式 二段式 三段式的概念.

关于详细地概念,我就不复述了,看两篇网上转载的文章就可以了:

1. 第一篇文章——Verilog三段式状态机描述(转载)

时序电路的状态是一个状态变量集合,这些状态变量在任意时刻的值都包含了为确定电路的未来行为而必需考虑的所有历史信息。

状态机采用VerilogHDL语言编码,建议分为三个always段完成

三段式建模描述FSM的状态机输出时,只需指定case敏感表为次态寄存器, 然后直接在每个次态的case分支中描述该状态的输出即可,不用考虑状态转移条件。

三段式描述方法虽然代码结构复杂了一些,但是换来的优势是:使FSM做到了同步寄存器输出,消除了组合逻辑输出的不稳定与毛刺的隐患,而且更利于时序路径分组,一般来说在FPGA/CPLD等可编程逻辑器件上的综合与布局布线效果更佳。

示列如下:

//第一个进程,同步时序always模块,格式化描述次态寄存器迁移到现态寄存器always @ (posedge clk or negedge rst_n)    //异步复位 if(!rst_n)   current_state <= IDLE; else   current_state <= next_state;   //注意,使用的是非阻塞赋值//第二个进程,组合逻辑always模块,描述状态转移条件判断always @ (current_state)        //电平触发  begin    next_state = x;  //要初始化,使得系统复位后能进入正确的状态    case(current_state)    S1: if(...)       next_state = S2;           //阻塞赋值    ...    endcaseend//第三个进程,同步时序always模块,格式化描述次态寄存器输出always @ (posedge clk or negedge rst_n)...//初始化 case(next_state)S1:   out1 <= 1'b1;                //注意是非阻塞逻辑S2:   out2 <= 1'b1;default:...      //default的作用是免除综合工具综合出锁存器endcaseend
2. 第2篇文章——两段式有限状态机与三段式有限状态机的区别

FSM将时序部分(状态转移部分)和组合部分(判断状态转移条件和产生输出)分开,写为两个always语句,即为两段式有限状态机。

将组合部分中的判断状态转移条件和产生输入再分开写,则为三段式有限状态机。

区别:

二段式在组合逻辑特别复杂时适用,但要注意需在后面加一个触发器以消除组合逻辑对输出产生的毛刺。三段式没有这个问题,由于第三个always会生成触发器。

设计时注意方面:

编码原则binarygray-code适用于触发器资源较少,组合电路资源丰富的情况(CPLD),对于FPGA,适用one-hot code。这样不但充分利用FPGA丰富的触发器资源,还因为只需比较一个bit,速度快,组合电路简单。FSM初始化问题

GSR(Gobal Set/Reset)只是在加电时清零所有的reg和片内ram,并不保证FSM能进入初始化状态,要利用GSR,方案是适用one-hot code with zero idle,即初始状态编码为全零。已可以适用异步复位rst

FSM输出可以适用taskFSM中的case最好加上default,默认态可以设为初始态尤其注意:

第二段的always(组合部分,赋值用=)里面判断条件一定要包含所有情况!可以用else保证包含完全。

第二段always中,组合逻辑电平要维持超过一个clock,仿真时注意。3. 第3篇文章——关于VHDL状态机:不听老人言,吃亏在眼前。

Original address:

以前看了不少关于如何写VDHL状态机的文章,都是提倡使用二段式或三段式的写法,都建议避免使用一段式的写法,但看了之后,都没什么体会。像我们写软件出身的,心理上总喜欢一段式的写法,觉得思路比较连贯,而且可以写在一个process里,“内聚性”比较高。软件工程师是最讨厌多个函数共用全局变量的了。

但对于硬件开发,就不一样了。因为VHDL还是无法完全屏蔽掉硬件的物理特性,不好的布局,会使得写的逻辑错误执行。最近写的一个状态机,就遇到了这个麻烦。因为喜好的缘故,加上状态机里面有计数器,用组合逻辑写比较麻烦,于是我用了一段式的写法。结果实际运行的时候,发现状态机经常无故锁死,用逻辑分析仪看,发现陷入了非法的状态,而且when others语句也无法使状态机回到IDLE状态。开始怀疑逻辑上有错误,折腾几天后,把状态切换部分独立出来放在一个同步process里,问题解决了。虽然偶尔还会发现落入非法状态,但状态机会自动恢复到初始状态,不会锁死了,而程序逻辑没有做如何修改。看来以后还是得规规矩矩用二段或三段式的写法了。为了便于记忆,把二段、三段式的特点终结成几句话:

二段式:状态切换用时序逻辑,次态输出和信号输出用组合逻辑。三段式:状态切换用时序逻辑,次态输出用组合逻辑,信号输出用时序逻辑。信号输出的process中,case语句用next state做条件,可以解决比组合逻辑输出慢一拍的问题。

Q: 有时候判断次态需要用到计数器怎么办呢(计数器是时序电路,用组合逻辑是实现不了的)?

A: 方法是独立实现一个计数器,而在组合逻辑里用使能信号(或清除、置位等)来控制它。

4. 总结

这最后一篇文章已经把 一段式/二段式/三段式 这3种写法概括的很透彻了, 并且给出了克服3段式输出延时缺点的方法, 以及需要用到计数器时的方法, 总结得相当好!

标签: #三段式状态机 #三段式状态机有哪三段 #三段式状态机 verilog #三段式状态机写法 #状态机三段式示例